2024–25 Maltese National Amateur League 2

[XFB] Konu Bilgileri

Konu Hakkında Merhaba, tarihinde Wiki kategorisinde News tarafından oluşturulan 2024–25 Maltese National Amateur League 2 başlıklı konuyu okuyorsunuz. Bu konu şimdiye dek 1 kez görüntülenmiş, 0 yorum ve 0 tepki puanı almıştır...
Kategori Adı Wiki
Konu Başlığı 2024–25 Maltese National Amateur League 2
Konbuyu başlatan News
Başlangıç tarihi
Cevaplar
Görüntüleme
İlk mesaj tepki puanı
Son Mesaj Yazan News

News

Moderator
Top Poster Of Month
Credits
0
CycloneYoris: Added tags to the page using Page Curation (unreferenced)


{{unreferenced|date=April 2024}}
{{Infobox football league season
| season = 2024–25
| dates = September 2024 –April 2025
| matches =
| total goals =
| league topscorer =
|biggest home win =
|biggest away win =
|highest scoring =
|longest wins =
|longest unbeaten =
|longest winless =
|longest losses =
| competition = [[Maltese National Amateur League 2]]
| nextseason = [[2025–26 Maltese National Amateur League 2|2025–26]]
| updated = 28 April 2024
| winners =
| promoted =
}}

The '''2024–25 Maltese National Amateur League 2''' (referred to, for sponsorship reasons, as the '''IZIBet National Amateur League''') occurs between August 2024 and April 2025. This will be the first season since the third division returned after four years with three levels of the football division League system. The [[Maltese National Amateur League 2]] is Malta's fourth-highest professional football division. The 2024-25 League winners will be promoted to the [[Maltese National Amateur League]].

== Teams ==
Twenty-three teams competed in the 2023-24 League. These teams were split into two groups.
{{Location map+ |Malta |width=650 |float=left |caption=Location of Maltese clubs played in Maltese Premier League |alt=Map of Maltese clubs played in Maltese Premier League |places=
{{Location map~ |Malta |lat=35.860278 |long=14.381 |label=<small>[[Dingli Swallows F.C.|Dingli Swallows]]</small> |position=left}}
{{Location map~ |Malta |lat=35.844165 |long=14.515442 |label=<small>[[Ghaxaq F.C.|Ghaxaq]]</small> |position=right}}
{{Location map~ |Malta |lat=35.888332 |long=14.532861 |label=<small>[[Kalkara F.C.|Kalkara United]]</small> |position=top}}
{{Location map~ |Malta |lat=35.886109 |long=14.403179 |label=<small>[[Mdina Knights F.C.|Mdina Knights]]</small> |position=bottom}}
{{Location map~ |Malta |lat=35.844219 |long=14.464000 |label=<small>[[Mqabba F.C.|Mqabba]]</small> |position=right}}
{{Location map~ |Malta |lat=35.889753 |long=14.477456 |label=<small>Santa Venera Lightning</small> |position=left}}
{{Location map~ |Malta |lat=35.858175 |long=14.434443 |label=<small>[[Siggiewi F.C.|Siggiewi]]</small> |position=bottom}}
{{Location map~ |Malta |lat=35.881607 |long=14.521124 |label=<small>[[St. George's F.C.|St. George's]]</small> |position=bottom}}
{{Location map~ |Malta |lat=35.899083 |long=14.494757 |label=<small>[[Ta' Xbiex S.C.|Ta' Xbiex]]</small> |position=left}}
}}
{| class="wikitable sortable"
|-
! Team
! Location
! Manager
|-
| [[Dingli Swallows F.C.|Dingli Swallows]]
| [[Dingli]]
|{{flagicon|MLT}} Nicolai Caruana
|-
| [[Ghaxaq F.C.|Ghaxaq]]
| [[Ghaxaq]]
|{{flagicon|MLT}} Spiridione Curmi
|-
| [[Kalkara F.C.|Kalkara United]]
| [[Kalkara]]
|{{flagicon|MLT}} Paul Bugeja
|-
| [[Mdina Knights F.C.|Mdina Knights]]
| [[Mdina]]
|{{flagicon|MLT}} Roland Sollars
|-
| [[Mqabba F.C.|Mqabba]]
| [[Mqabba]]
|{{flagicon|MLT}} Vincent Carbonaro
|-
| Santa Venera Lightnings
| [[Santa Venera]]
|{{flagicon|MLT}} Marco Grech
|-
| [[Siggiewi F.C.|Siggiewi]]
| [[Siggiewi]]
|{{flagicon|MLT}} David Mifsud
|-
| [[St. George's F.C.|St. George's]]
| [[Cospicua]]
|{{flagicon|MLT}} Trevor Thomas
|-
| [[Ta' Xbiex S.C.|Ta' Xbiex]]
| [[Ta' Xbiex]]
|{{flagicon|MLT}} Mario Fenech
|-
|}

==Venues==
{| class="wikitable" style="text-align:center"
|-
! rowspan="4" | {{Location map+|Malta|float=center|width=300|caption=|places=
{{Location map~ |Malta|lat=35.923567 |long=14.475778 |label=[[Luxol Stadium]]|position=right}}
{{location map~ |Malta|lat=35.904756 |long=14.434543|label=[[Charles Abela Memorial Stadium|Charles Abela Stadium]]|position=top}}
{{Location map~ |Malta|lat=35.95064 |long=14.41561 |label=[[Sirens Stadium]] |position=top}}
{{location map~ |Malta|lat=35.894783 |long=14.415172|label=[[Centenary Stadium]]|position=bottom}}
{{Location map~ |Malta|lat=35.886111 |long=14.489444 |label=[[Victor Tedesco Stadium]]|position=bottom}}}}
! [[Pembroke, Malta|Pembroke]]
! [[Mosta]]
! [[San Pawl il-Baħar]]
! [[Ta' Qali]]
! [[Hamrun]]
|-
| [[Luxol Stadium]]
| [[Charles Abela Memorial Stadium|Charles Abela Stadium]]
| [[Sirens Stadium]]
| [[Centenary Stadium]]
| [[Victor Tedesco Stadium]]
|-
| Capacity: '''600'''
| Capacity: '''700'''
| Capacity: '''800'''
| Capacity: '''3,000'''
| Capacity: '''1,962'''
|-
| [[File:Luxol Stadium.png|200px]]
| [[File:Charles Abela Memorial Stadium Night.jpg|200px]]
| [[File:Sirens Stadium.png|200px]]
| [[File:Malta - Attard - Ta' Qali Centenary Stadium 07 ies.jpg|200px]]
| [[File:Victor Tedesco Stadium.jpg|200px]]
|}

== League stage ==
<onlyinclude>{{#invoke:Sports table|main|style=WDL
|res_col_header=QR
|source=[https://matchcentre.mfa.com.mt/competition/2601248]
<!--Update team positions here-->
|team_order= DIN, GHX, KLK, MDI, MQA, SVL, SIG, STG, XBX,

<!--Update team results here and then (if needed) positions above. Don't forget to update the date (update parameter)-->
|update=28 April 2024
|win_DIN= |draw_DIN= |loss_DIN= |gf_DIN= |ga_DIN=<!-- Dingli Swallows -->
|win_GHX= |draw_GHX= |loss_GHX= |gf_GHX= |ga_GHX=<!-- Ghaxaq -->
|win_KLK= |draw_KLK= |loss_KLK= |gf_KLK= |ga_KLK=<!-- Kalkara United -->
|win_MDI= |draw_MDI= |loss_MDI= |gf_MDI= |ga_MDI=<!-- Mdina Knights -->
|win_MQA= |draw_MQA= |loss_MQA= |gf_MQA= |ga_MQA=<!-- Mqabba -->
|win_SVL= |draw_SVL= |loss_SVL= |gf_SVL= |ga_SVL=<!-- Santa Venera Lightning -->
|win_SIG= |draw_SIG= |loss_SIG= |gf_SIG=|ga_SIG=<!-- Siggiewi -->
|win_STG= |draw_STG= |loss_STG= |gf_STG= |ga_STG=<!-- St. George's -->
|win_XBX= |draw_XBX= |loss_XBX= |gf_XBX= |ga_XBX=<!-- Ta' Xbiex -->

<!--Team definitions (wikilinks in table)-->
|name_DIN=[[Dingli Swallows F.C.|Dingli Swallows]]
|name_GHX=[[Ghaxaq F.C.|Ghaxaq]]
|name_KLK=[[Kalkara F.C.|Kalkara United]]
|name_MDI=[[Mdina Knights F.C.|Mdina Knights]]
|name_MQA=[[Mqabba F.C.|Mqabba]]
|name_SVL=Santa Venera Lightning
|name_SIG=[[Siggiewi F.C.|Siggiewi]]
|name_STG=[[St. George's F.C.|St. George's]]
|name_XBX=[[Ta' Xbiex S.C.|Ta' Xbiex]]

<!--Table settings and rules-->
|show_limit=5
|class_rules=1) Points; 2) Play-offs (only if needed to decide champions, relegation, or teams for relegation play-offs).

<!--Qualification and relegation column definitions-->
|col_Q1=green1 |text_Q1= Promotion to the [[2025–26 Maltese National Amateur League]]
|result1=Q1 |result2 = Q1 |
}}

=== Results ===
{{#invoke:sports results|main
| source = [https://matchcentre.mfa.com.mt/competition/2601267/]
| update = 28 April 2024
| matches_style = FBR

|team1=DIN |team2=GHX |team3=KLK |team4=MDI |team5=MQA |team6=SVL |team7=SIG |team8=STG |team9=XBX

|name_DIN=[[Dingli Swallows F.C.|Dingli Swallows]]
|name_GHX=[[Ghaxaq F.C.|Ghaxaq]]
|name_KLK=[[Kalkara F.C.|Kalkara United]]
|name_MDI=[[Mdina Knights F.C.|Mdina Knights]]
|name_MQA=[[Mqabba F.C.|Mqabba]]
|name_SVL=Santa Venera Lightning
|name_SIG=[[Siggiewi F.C.|Siggiewi]]
|name_STG=[[St. George's F.C.|St. George's]]
|name_XBX=[[Ta' Xbiex S.C.|Ta' Xbiex]]

| match_DIN_GHX =
| match_DIN_KLK =
| match_DIN_MDI =
| match_DIN_MQA =
| match_DIN_SVL =
| match_DIN_SIG =
| match_DIN_STG =
| match_DIN_XBX =

| match_GHX_DIN =
| match_GHX_KLK =
| match_GHX_MDI =
| match_GHX_MQA =
| match_GHX_SVL =
| match_GHX_SIG =
| match_GHX_STG =
| match_GHX_XBX =

| match_KLK_DIN =
| match_KLK_GHX =
| match_KLK_MDI =
| match_KLK_MQA =
| match_KLK_SVL =
| match_KLK_SIG =
| match_KLK_STG =
| match_KLK_XBX =

| match_MDI_DIN =
| match_MDI_GHX =
| match_MDI_KLK =
| match_MDI_MQA =
| match_MDI_SVL =
| match_MDI_SIG =
| match_MDI_STG =
| match_MDI_XBX =

| match_MQA_DIN =
| match_MQA_GHX =
| match_MQA_KLK =
| match_MQA_MDI =
| match_MQA_SVL =
| match_MQA_SIG =
| match_MQA_STG =
| match_MQA_XBX =

| match_SVL_DIN =
| match_SVL_GHX =
| match_SVL_KLK =
| match_SVL_MDI =
| match_SVL_MQA =
| match_SVL_SIG =
| match_SVL_STG =
| match_SVL_XBX =

| match_SIG_DIN =
| match_SIG_GHX =
| match_SIG_KLK =
| match_SIG_MDI =
| match_SIG_MQA =
| match_SIG_SVL =
| match_SIG_STG =
| match_SIG_XBX =

| match_STG_DIN =
| match_STG_GHX =
| match_STG_KLK =
| match_STG_MDI =
| match_STG_MQA =
| match_STG_SVL =
| match_STG_SIG =
| match_STG_XBX =

| match_XBX_DIN =
| match_XBX_GHX =
| match_XBX_KLK =
| match_XBX_MDI =
| match_XBX_MQA =
| match_XBX_SVL =
| match_XBX_SIG =
| match_XBX_STG =
}}

Okumaya devam et...
 

Geri
Üst